Welcome![Sign In][Sign Up]
Location:
Search - ps2 verilog

Search list

[Other resourceSourceFile

Description: PS2键盘实验Verilog HDL代码
Platform: | Size: 3697 | Author: 张猛蛟 | Hits:

[Other resourceps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出
Platform: | Size: 5306 | Author: 李志刚 | Hits:

[Other resourceSource

Description: PS2键盘实验源代码,Verilog语言编写
Platform: | Size: 3780 | Author: zhan | Hits:

[Other resourceSource

Description: PS2鼠标实验源代码,Verilog语言编写
Platform: | Size: 4699 | Author: zhan | Hits:

[Embeded-SCM Developcpld_quartus50_over

Description: 通过VERILOG HDL语言使用CPLD连接PS2键盘.
Platform: | Size: 2039661 | Author: 王首浩 | Hits:

[Other resourcemaxII_verilog_ps2

Description: verilog语言在maxII的cpld芯片上实现ps2功能源代码
Platform: | Size: 479057 | Author: nedazq | Hits:

[Other resourcemouse1

Description: PS2鼠标驱动程序,verilog语言书写
Platform: | Size: 1474 | Author: chenlei | Hits:

[Embeded-SCM Developcpld_quartus50_over

Description: 通过VERILOG HDL语言使用CPLD连接PS2键盘.-VERILOG HDL languages through the use of CPLD to connect PS2 keyboard.
Platform: | Size: 2039808 | Author: 王首浩 | Hits:

[OtherSome_design_of_interface(IIC_PS2_RS232_KEY)

Description: 一些接口电路的Verilog设计,主要包括IIC、PS2、矩阵键盘、RS232、还有一些基础试验的源代码如:除法器、多路选择器、加法器、减法器、8位优先编码器等。-Some design of interface(IIC,PS2,RS232...)
Platform: | Size: 2993152 | Author: 李皓 | Hits:

[SCMS7_PS2_LCD

Description: 实现从ps2取出数据在lcm上显示出来,完全符合ps2协议-verilog lcm ps2 cpld
Platform: | Size: 635904 | Author: wphyl | Hits:

[VHDL-FPGA-VerilogS7_PS2_RS232

Description: 基于verilog语言PS2接口和RS232接口的实现-PS2 based on verilog language interface and RS232 interface implementation
Platform: | Size: 1748992 | Author: jiehao | Hits:

[VHDL-FPGA-VerilogPS2andRS232

Description: 基于Verilog语言PS2接口和RS232接口的实现 有文档说明,工程实例.可用来学习Verilog语言.-Based on Verilog Language PS2 interface and RS232 interface implementation are documented, project examples. Can be used to learn the Verilog language.
Platform: | Size: 1770496 | Author: generalj | Hits:

[VHDL-FPGA-VerilogPS2KEY

Description: 用VERILOG语言实现的PS2键盘的读取键码程序-PS2 KEY DRIVER
Platform: | Size: 10240 | Author: sexian | Hits:

[VHDL-FPGA-VerilogPS2MAUSE

Description: 用VERILOG语言写的PS2鼠标驱动程序,用来读取鼠标的状态信息-PS2 MOUSE DRIVER
Platform: | Size: 10240 | Author: sexian | Hits:

[VHDL-FPGA-VerilogPS2RS232

Description: 这是关于PS2和rs232串口的代码,verilog的,是深入了解串口的好的学习实例。-good code about rs232 and ps2
Platform: | Size: 1770496 | Author: 秦天 | Hits:

[VHDL-FPGA-Verilogps2test

Description: 本代码功能为实现接收PS2键盘编码功能。 程序通过quartusII 8.1编译,使用verilog语言编写。 可在彬杰科技*BJTECH公司基于altera epm240的开发板上验证。 (开发板网址http://item.taobao.com/auction/item_detail-0db1-69fe7069aa3ba544abf783bc4427b377.htm) 有需要的朋友可以下载参考-The code functions to achieve the receiver PS2 keyboard encoding. Procedure quartusII 8.1 compiler, use the verilog language. Bin Jie in science and technology* BJTECH company' s development board based on altera epm240 verification. (Development Board web site http://item.taobao.com/auction/item_detail-0db1-69fe7069aa3ba544abf783bc4427b377.htm) in need of friends can download reference
Platform: | Size: 118784 | Author: 彬杰科技 | Hits:

[VHDL-FPGA-Verilogref2

Description: 这是一个PS2的Verilog代码,我买的一个开发板里面带的,-This is the Verilog code for a PS2, I bought a development board inside the zone,
Platform: | Size: 57344 | Author: 马秀成 | Hits:

[VHDL-FPGA-Verilogps2scan

Description: ps2口的数据采集verilog源码,带测试程序-ps2 port data acquisition
Platform: | Size: 1024 | Author: hujianchao | Hits:

[VHDL-FPGA-VerilogLCD_PS2

Description: Verilog写的LCD接口程序,并且带有PS2键盘接口-LCD interface program written in Verilog, and with a PS2 keyboard interface
Platform: | Size: 13065216 | Author: 骨头好 | Hits:

[VHDL-FPGA-VerilogPS2_Controller

Description: verilog hdl 实现的PS2控制模块-verilog hdl PS2
Platform: | Size: 1024 | Author: dk1st | Hits:
« 1 2 3 4 56 7 8 »

CodeBus www.codebus.net